officially design by thursdaynitefutsal.

.Postmortem-segmen terjah zasssss~!.


good day semua hooligan TNF...


amacam bro?g kelas Digital System tak pagi tadi hahaha..


terima kasih semua hooligan TNF dan member-member SRM sebab jayakan TNF-SRM Champions Cup.Terutamanya Ketua Biro Kecergasan SRM MMU Cyber-Cik Huda.Sila berikan tepukkan gemuruhh dan fewittt~

memandang semalam macam ramai nak beri pendapat dan cadangan,jadi silalah kemukakan komen atau carutan (bak kata ammar the chandler) anda disini.

TNF mengamalkan sistem demokrasi dan bersifat terbuka.sebarang komen diluar ruangan ini tidak akan dilayan.ok?

komen-komen dan polls anda akan diambil kira dalam entri analisis TNF-SRM CHAMPIONS CUP selepas ini sekali dengan gambar-gambar semalam.Bila?haa yang tu kita tak taw lagi.

kami sebagai penganjur akan cuba untuk memuaskan hati semua hooligan TNF.

sekian

147 Comments:

  1. Anonymous said...
    apa TNF main plate jaaa..klo dapat diner plate KFC masyukk skett..hahaha
    Anonymous said...
    korang tarok team aku kat group of death... laen aku tak kesah sgt pasal srm random ke tak. tapi dorang ade sub... itu je.. sekian
    Anonymous said...
    xpuas sbb sume maen nak menang je....
    maen suke2 sudey..
    xnak maen tourney2 dah...kita maen suke2 pada weekly..biar buang duit janji happy!!!!
    sekian~
    Anonymous said...
    kita patt reserve kan utk sub gakkan...siot pancit dowh...dh la besar gelanggang die
    Anonymous said...
    aku tak kesah sngt psl srm anta team power ke ape ke. tp psl yg ade sub je aku tak puas ati. ciabi patu men serious gile sampai nk sliding tackle sume. seb bek budak tu tak injured terok smalam.
    Anonymous said...
    x dpat hantar srm ke plate... sori kawan2
    Anonymous said...
    ak bkn ape,just x puas ati sket bile team kite yg ahli sume undi,then ade la plak team yg sdiri2 pilih,memg power la da memg pilih yg power2,siap ade keeper n sub lg,ckp lengkap la org kate kn.
    Anonymous said...
    keco usain bolt ni....ala tnf secara undi kot...klu kitorg anta team secara selection....bole la gi jauh...
    Anonymous said...
    betul2 bdk luar main emo cm haram.men mini cup pon jer nak pulon cm world cup.
    Anonymous said...
    len kali kalo nk wat cup2 cmni, kene kasi sume adil laa.. ini diorg ley pilih2 teammate patu ade sub.. like shit!!
    Anonymous said...
    rilek... smlm pon referee bkan official nyer... orang sliding2 die korek idong jer kat tepi
    Anonymous said...
    lagipon semalam ade group assignment digital system kot... kat gelanggang dorang discuss abes esemen
    Anonymous said...
    cb refere korek idung makan gaji buta la.. must be malay...
    Anonymous said...
    budak tnf men ngn bdk luar bajet star ar?bile men setiap khamis nak ngn geng2 jugak..fakkk
    ixu said...
    rilek cool2 ni bukan tempat gado2..klo cmni last la wat cup..kalo ada buat kita try format len.yang lebih adil.
    Anonymous said...
    bajet star ape jadah? fak u la
    Anonymous said...
    pade bdk2 tnf yg d'hrmati skli,sorylaa klu smlm aku t'tckle ke,t'tolak or t'tarik ke,xsgja..sory2..aku ada tckle bdk SRM junior tuh tp xsgja..nk clear bola t'spak pulak kaki dia..huhu..hrp dimaafi ya...ampun3...klu t'nmpak main srious mcm nk mng..huhu
    Anonymous said...
    dah nampak dah aizal punce, kiter terpakse ban aizal melainkan die dapat lari pecut 100m dalam mase 9 saat... hahaha... rilek wei.. takyah lar series sgt.. bende dah abes..
    Anonymous said...
    hahaha..yela2..aku tkut kena banned jek..nti haziq xbg aku main sbb aku laju dr beliau..aku da rasuah shah smlm... :)) oklaa..past is past..yg pnting,TNF tbaik.. :D
    Anonymous said...
    ape nie x gado x bestlah....sape yg bajet star sgt tuh....nnt tnf anta team tnf selection br korg taw....
    Anonymous said...
    ish3..
    ni dh serong niat dh ni!!!
    haa,apekata...start skang,sume komen ttg kekurangan cup semalam..
    ok??
    xelok gado2..futsal ni sume duniawi je!!! ;p
    haha
    Anonymous said...
    aku korek idung pun slh ... pehal ko nak korek kan ke ... klu nak ckp ... ckp kat komen je x gune bro ... aku jd ref pun bkn kene byr pun ... aku dah try terbek dah ... klu nak ... lepas nie aku bwk semue kad yg aku ade .... kite men kad je kat tepi ... ekeke [wat2 emo] ccb
    Anonymous said...
    mengelabah r reff noob nie
    Anonymous said...
    asal tnf x anta team je...
    salah sape?
    salah tnf dan diri tnf juge..
    Anonymous said...
    TO FAKK,
    ko pehal nih,memg nk men ngn geng2 kot,xyahla ko gatal2 nk men lg len kali,kalu tnf x buat undi2,kompem srm kena nye smlm.babi ape ckp cm2,mrh giler ak nh
    Anonymous said...
    tnf da best ever
    Anonymous said...
    anjing tol mamat seko ni.. kitorang men saje2 je kot.. siap random team lg.. cibai dowwww
    Anonymous said...
    pukimak r fakk.ingat power sangat ke...kitorg men gelak2 jer...siap random...lenkali tak payah join tnf r
    Anonymous said...
    wei aku bace ayat fakk ni confuse ar...
    die sokong tnf ke kutuk tnf???

    quote,
    fakk said...
    budak tnf men ngn bdk luar bajet star ar?bile men setiap khamis nak ngn geng2 jugak..fakkk
    Anonymous said...
    kutuk tnf le.. len kali takyah la ajak dak2 haram tu.. kite men kite2 dah. g mampos
    Anonymous said...
    aah...aku pun confuse gak sbnrnye...
    adakah 'fakk' ckp
    budak tnf...contohnye jarir ngn syahir maen ngn bdak luar pastu diorang bajet star,,

    or bdak2 undi tnf yg buat star lawan ngn bdak luar?????

    mne 1...xplen pliz...
    Anonymous said...
    shit
    Anonymous said...
    wehhh...sumpah ako xmo main tournament dh...main ske2 release tension sudah ar...huh
    Anonymous said...
    kpd kurang paham,
    x paham dowh ape yg ko x paham.so sila la bg pemahaman yg btol.
    Anonymous said...
    gaduh la lg.. x cukup panas lg nie.. klu bleh biar smpi bertumbuk.. wakaka
    Anonymous said...
    aku x tau nak skg sape.. tp mmg x adil la smalam.. srm men dah lawa dah .. tp aku rase diorg ingat hadiah smalam tu RM800 kot.. tu yg diorg men giler babi.. xleh salahkan diorg gak .. lol
    Anonymous said...
    biarlah diorg mng....maksudnyer kita kne train like a pro...lg pon kita x anta the best team tnf yg mengandungi wonderboy
    Anonymous said...
    aku rasa maksud "fakk" tu ialah..
    die bengang dgn beberapa geng kita boodark TNF yg maen dgn bdk srm..pastu,boodark2 tu bajet star..
    maen dgn bdk srm..tp maen gak dgn geng2 tnf..
    tu ape yg aku rasalaaa
    Anonymous said...
    Tapi tnf nyer team yang ade wonderboy pon kalah kat cup, haih kene download video hd lak utk die tgk.. pada pendapat aku la... bdak2 srm tak salah pon... diorang register jer tourney ni.. salah organizer la tak tetapkan rules tournament ngan adil.. sekian
    Anonymous said...
    dowh kene ingt wonderboy pon ada hari yang malang....
    semalam hari diorg...hari esok lusa hari wonderboy...
    kakakaka
    Anonymous said...
    jgn salah kan organizer dowh...br first tyme kot diorg anjur secara bole katalah besar-besaran sket...mungkin laen kali diorg akan buat yg lebih bagus...tp aku rasa men tnf-cl atau tnf weekly lg best dowh tol tak???bole sronok2
    Anonymous said...
    acet wonder woman.. mmg ko penganjur la.. x yah la nak menyamar.. tp korg dah wat ok dah tu.. cume mutu keadilan tu kene tingkatkan lg.. Hidup keADILan~
    Anonymous said...
    btul2...aku rse bdak tnf yg men ngn srm x salah kot...
    biar ar diorang nk bajet star ke pape ke...
    yg penting kite kne training like a pro to become like a pro..hahahaha
    Anonymous said...
    kesimpulannye...
    fakk tu geng tnf ar...tp asal ade yg maki die???
    cian kat ko kan fakk...
    Anonymous said...
    ekeleh apsal nk fakk kat tnf....fakk you r weyh

    p/s mmg wonderwoman bkn tnf r kekekkee
    Anonymous said...
    xpe2...arituh ari diorang...sok2...ari raye...muahahahaha :P
    Anonymous said...
    esok lagi hari natal...cheers
    Anonymous said...
    ...arini liverpool kalah...
    Anonymous said...
    bile nak wat lagi SRM-TNF Cl lagi??? tak sabar ni...
    Anonymous said...
    Pasni kite wat TNF-Usrah lak ... menang dapat RM100...
    Pastu TNF-CLS lak...
    Menang dapat amoi
    Anonymous said...
    kite wat lagi ar TNF-SRM CL
    tp team yg dah menang x leh register dah...amcm???
    Anonymous said...
    ehem2..bg gua komen pulak.yang dah lepas2 biarla ia berlalu..yg akan datang ni baik kita plan leklok..

    gua rasa tournament/league/cup ni rasanya elok la buat sekali jer dlm 1 sem..gua cadangkan buat time2 study week or a week b4 study week..

    sebab aper??yerla time tu kan da rileks,midterm da abes,esemen pun dah submit..bole la focus nak menang tournament time tu.

    utk mggu2 lain pulak..gua cdgkn kita main tnf suka2 cam base..enjoy pun enjoy,gelak pun smpi pecah perut..time2 ni la leraikan otak yg dah serabut(musim midterm n esemen) dgn lepak dgn member2..

    ok..gua usulkan cadangan ditutup..
    hehe..
    Anonymous said...
    Gua cadang TNF buat sistem liga pulak. maksudnye setiap minggu ade liga. setiap liga ade pasukan . setiap pasukan ade pemain.. sekian kasihmu diterima...

    P/s :
    Xfaham gua cakap ape xpe..
    sebab gua pon xfhm..

    sebab Guataktipu
    Anonymous said...
    kalu nk wet srm-cl,team kena same la,kalu undi,sume team undi,kalu da memg pilih sdiri,pilih sdiri,br fair utk sume team,nie x,team yg pilih sdiri sonok r,memg player yg boley main..
    bkn ape,kesianla kt player2 lain gak,kalu tau nk men btul,kompem2 pilih team btul2,xdenye team main2,tnf ade sorg je keeper kot,2 pn kokrain,bkn leh pecah2 bdn nk men 5 team..

    mls nk ckp byk,lu pikir la sdiri
    samekom
    Anonymous said...
    ape ni sme kuar idea2 bernas jer....gado2 lu hahahaha
    Anonymous said...
    tnf weekly...go on as usual...thats all...no more tourney2...nk tourney2..g masok kosmo punye ker atau mane2 open lain...dh2...men cam bese minggu2...sonok2...k :D
    Anonymous said...
    nak tmbh sikit..pasal kehadiran gurlz sbg penyokong..

    aku harap gurlz2 yg nak datang menyokong tu biarla yg single2 jer..
    brla bersemangat sikit..hehe

    kalu x single..baik dok kat bilik jer wat pape yg ptt.

    sbb kehadiran dorg ni telah menyekat kebebasan amar the funny guy dari menghasilkan lawak2 yg kotor..haha
    Anonymous said...
    ek eleh
    lek aa

    dah kalah ,kalah aa

    byk la ko nyer excuses

    play for the prize ma
    Anonymous said...
    ak bkn ape,just x puas ati sket bile team kite yg ahli sume undi,then ade la plak team yg sdiri2 pilih,memg power la da memg pilih yg power2,siap ade keeper n sub lg,ckp lengkap la org kate kn.


    p/s


    play for fun la weyh
    kene aa ade keeper
    kalo x
    concede la goal

    stupido!
    ahha
    Anonymous said...
    aku stuju wat srm-cls bak kate rita ruzaini tu...dapat amoi...kekeke
    Anonymous said...
    p/s


    play for fun la weyh
    kene aa ade keeper
    kalo x
    concede la goal

    stupido!
    ahha

    -------------------------------------

    kalao nak play for fun men la undi random.. ni pilih sendiri mmg ar ade keeper pro..kalau undi keeper sure xpro...

    Stupid!!
    Anonymous said...
    Yang join pasukan SRM tu mmg ahli2 SRM ke? lagi semua mereka student MMU?
    Anonymous said...
    cbe korg tny sape yg nk bt undi2 tu..
    sbb patut tournamen mmg pilih team en..
    n kalo xsilap,mmg pihak srm plan awl dlu pon pilih grup sndiriii..
    ade pihak yg usulkan undi2 niii..
    cbe ah selidik dlu br la nk gado2..

    p/s:dierang student mmu la..
    Anonymous said...
    ALA RILEK R...BKN HADIAH 800 PON...80 JER...KLU 800 AKU DH BUAT TEAM SENDRI...MEMIKIRKAN 80 JER SO BEK MEN FOR FUN JER....LG BEST....NK SELIDIK APE BRO GUA PENGANJOR....DH CKP KT SRM....TEAM TNF RANDOM PLUS DAK2 SRM JER BLEY MAEN....PASTU DAK YG MEN TEAM SRM ARITU EMO.....KLU IKOT AKU MMG X KASIK DIORG MASUK JER NK BUAT TEAM SEBAB NIE BKN OPEN...CLOSE TOUNEMEN....TP DAK2 TEAM SRM ARITU NK EMO2 NK NANGES2....AKU BKN APE KESIAN KT HUDA TUH...SO AKU BG JELA...KLU IKOT PENGANJOR TNF PEGI AMMPOS R DIORG NK BUAT TEAM SENDRI PEGI MEN TOURNAMEN LAEN...KNE INGT TNF-SRM NI KITORG DEAL NGAN SRM YG TNF HANDLE TP BUAT CLOSE...PATUTNYE TEAM SRM TUH BG KT TEAM BADRUZ NGAN HAZIMIN....GUA BKN NK UNGKITLAH....TP MALAS NK LAYAN BDK KEREK2 NIE....JAGUH KMPG JER KOT...BKN BLEY PEGI JAUH PON....MEN BIASE2 JER...TNF TEAM UNDI....SO JGNLA KEREK SGT....
    Anonymous said...
    P/S YG MEN UTK SRM TUH PON 2 3 ORG JER SRM....KARUTLAH
    Anonymous said...
    "cbe korg tny sape yg nk bt undi2 tu..
    sbb patut tournamen mmg pilih team en..
    n kalo xsilap,mmg pihak srm plan awl dlu pon pilih grup sndiriii..
    ade pihak yg usulkan undi2 niii..
    cbe ah selidik dlu br la nk gado2..

    p/s:dierang student mmu la.."

    yg ni mmg huda ar tuleh...
    jgn mengelabah bleh ak nk kutuk2 org...
    Anonymous said...
    die tulis tuh masa dia nk buat tornemen srm sahaja....pastu dia mintak tlg tnf handle...tnf bg r syarat supaya close...dia setuju....tuh sbb nama die tnf-srm....aku penganjur aku tawlah...itu statement huda dulu2.....klu nk citer bende dulu....DULU NENEK AKU PO DARA!!!!!
    Anonymous said...
    wei TNF
    kaw jgn nk kutuk team kitorang lak.!!!
    penah plak tournament wat individual...
    kaw power sgt ke TNF...
    btw,bdak team aku yg sponsor tourney ni so xkn die xleh msuk kot,duit die gak...kaw ade kuar duit ke sponsor.??
    jwab skit...
    aku mmg bukan knal sgt set2 tnf nie..
    aku maen aritu pun ade org ajak je...so maen jela...
    haishhh...nyesal aku msuk blog ni...
    bajet gle...
    Anonymous said...
    bro...
    quote
    "Anonymous said...

    cbe korg tny sape yg nk bt undi2 tu..
    sbb patut tournamen mmg pilih team en..
    n kalo xsilap,mmg pihak srm plan awl dlu pon pilih grup sndiriii..
    ade pihak yg usulkan undi2 niii..
    cbe ah selidik dlu br la nk gado2..

    p/s:dierang student mmu la.."

    yg ayat atas ni huda yg tuleh...tp ko bahan aku..mmg aku trase la lahanat...
    Anonymous said...
    lu org masuk sini lu org nk kutuk kitorg lak...angin r....sape punya duit ckp sket????itu srm nyer duit...apsal ko pres ker nk gelabah2 nie
    Anonymous said...
    LEN KALI BACE COMMENT BETUL2, HUDA YG MINTAK TOLONG TNF KAN.. PATU DA WAT RULES KATE NI CLOSED TOURNAMENT, APE JADAH BWK ORG LUAR PATU DA MENANG BAJET POWER SNGT AR? POYO LAAA
    Anonymous said...
    nyesal ker masuk blog nie???ko masuk bkn tnf bole buat duit pon....tnf x bahan sesiapa....tp dh lu org port cni pastu nk kutuk2 dak2 tnf....apa kes????
    Anonymous said...
    g mati la ngn duit tu...kitorang baya gak .. ingt main free ke??
    Anonymous said...
    meriah sial!
    lagi! lagi!...
    hua hua hua...
    Anonymous said...
    syaitan ni aku tau ammar
    ammar go die la
    hahaha
    Anonymous said...
    Boleh blah la team W...
    budjet power arr...
    baru bayar 20 hinggit, tu pon dah bahagi sampai 7-8 orang keco...

    blah la wei!..
    Anonymous said...
    weh bdk alergi poyo...tuh blom nk bahagi2 kt penyokong tol tak....seme tuh berbayar tuh wakakaka
    Anonymous said...
    Pukimon nyer digimon...
    kantoi syial!..
    hehehe...
    Anonymous said...
    gado2 mulut tak best ar..
    ape kate kite lawan2 tumbuk..
    haha
    Anonymous said...
    x kesah 7-8 org...janji dapat nama beb juara.....
    Anonymous said...
    aku tau laa ko nye cara type.. kite kan dlu lovers gitu2.. aww
    Anonymous said...
    ko bajet dh kuat sgt nk lawan tumbuk???
    Anonymous said...
    aku dah agak dah penyokong diorang tu pon berbayar...

    keco giler!

    P/s: oi syaiton diam arr ko!!

    dengar citer roomate ko rosakkan kipas ko...

    dia dah ganti ke bloom..?
    hahaha!
    Anonymous said...
    g mati la ngn name juara ko tu.. cm bwk g kubur je..
    go die
    Anonymous said...
    quote:
    Anonymous said...

    ko bajet dh kuat sgt nk lawan tumbuk???


    lg kuat dr ko la.. nk men futsal pon nk kene ade sub.
    puii
    Anonymous said...
    hahahaha lagi lagi... sape tak bersuara lagi? ha ckap cpat...

    korang ni macam budak2 la nak bergadoh2. bende dah lepas, what past is past la. Lagipon rules pon tak ditetapkan sgt. aku taktau la plak bdak2 srm tu bkan bdak srm. tapi korang kene ingat gak, bdak2 tnf tu ade lagi newbie baru men bola. korang takyah la bangga sgt kalo menang sebab team2 camni masok. Pegi la masok cosmo futsal ke kalo nak menang sgt. bdak2 tnf ni masok pon sbb ingat tournament closed.
    Aku mintak ampun maap la dari bdak2 tnf kalo dorang terberlagak kat korang sampai korang emo. tapi jgn la pandang rendah sgt bdak2 tnf. team haziq tu pon kalah ngan team srm tipis2 je. Bukan tak boleh kasi fight kat korang. itupon team random. so lain kali.. aku vote mmg no TNF-SRM. aku boikot srm buat sementara waktu.
    Anonymous said...
    rilek2....
    tnf harap sme dpt bersabar....
    yg lepas tuh biarlah lepas...
    jgnlah kita hendak menyakiti hati sesiapa...
    tournament dh abes tp masih byk bunyik lg...ini ibarat rumah siap pahat berbunyi...
    x eloklah mcm nie...
    kita sme rakan2 oke
    Anonymous said...
    saye gay, tolong marah saya
    Anonymous said...
    diumumkan lagi sekali agar sme bawak bertenang......yg gay sila tinggalkan blog ini kerana anda telah salah port...
    Anonymous said...
    entah nyer...
    telo tu berat sangat ker..?
    baru main sekejap nak tukar2 player...

    gua yang "kenderaan berat ikut kiri" ni pon main full time..

    baru memang skali nak blagak...
    ader hati nak ajak bertumbuk pulak...
    keco arr...

    p/s: dia bloom ganti kipas aku dow...
    huhuhu...
    Anonymous said...
    ammar ko kene ingat, korang nyer team kali ni matlamat nak siapkan esemen digital system. bukan nyer menang futsal.
    Anonymous said...
    lepas ni tolonglaa maen TNF je weekly..takyah main2 tourney dah!!letihlaaa...kang gado2 takpuas ati!!!!
    Anonymous said...
    korang nie patut amik inisiatif dari
    bende nie..kalo dah kalah improve laa..blaja dari silap..takkan nk main dgn2 member2 je..mmg tak berkembang laa smpi ke tua..lpas tu nk salah kan org len..ade keeper laa..ade sub laa..cmon wei..ini baru tourney kecik je..kemut lbey aa..enough wit the excuses..cermin diri dulu :p
    Anonymous said...
    bpak ar bdak atas ni...blagak gile ko ni..hbat sgt ker...
    Anonymous said...
    ckp bajet power budak neh.. haishh. ktorg mmg bukan gile glamour ke gile duit hadiah ke ape.. mmg ktorg ske men for fun.. bukan bwk kubur pon ko terrer futsal ke tak
    Anonymous said...
    emmm TNF siap post besar2 kat depan..tak baca ker bro..TNF selection masuk FINAL MMU FUTSAL lEAGUE dan TOP SCORER dalam event tu..bukti klo ktorg men team yg proper dengan subs semua cukup memang boleh menang laa..setakat mini tournament ni ape la sangattt...TNF is the best~!
    Anonymous said...
    lagu satu..memang TNF men untuk member2 men hepi2..x luak nak menang title apa-apa pun..yang penting kitorg unite..tol tak geng?
    Anonymous said...
    Initiatif MAK kau... kitorang main dgn member2 lagi fun daripada menang tourney kecil kecilan ni... Ko kene igt dgn umur ko sekarang ni ko main bagus mane pon xleh gi mane ar... Baik ko Study pandai2 kat MMU ni...
    Anonymous said...
    Cermin Diri dulu??... hahahah ko ni wat aku ketawa tercirit ar... ni ko nak bajet sgt apsal... ko igt ko menang tourney aritu leh gi jauh ar... bley men untuk negara? leh dapat rita rudaini?? eh poyo ar ko ni...
    Anonymous said...
    amende ar bro yang keco keco kat atas tadi.. pergi mampus ar bakat x berkembang.. ape berkembang sgt ker bkat die men kat tourney kecik ni.. pastu siap kate xkan nak main dgn member2 jer... gi mmpus ar nak men futsal dgn sape... ape masalah ko ni hah?? bodoh berangkai??
    Anonymous said...
    yesss, bahagian commentni kembali panas. hahaha
    tnf for life~
    Anonymous said...
    orang yg x nk berkembang mmg ckp camtue
    haha

    kalo tourney kecik pun dah kalah,
    complain lagi pasal bdk2 yg hebat
    mmg bodo berangkai la
    wakakakkakakakaka

    study2 la
    maen futsal2 la
    bodo betul

    bajet aku bodo cam ko
    at least aku ade talent
    ko tue blaja dah la bangkai

    maen cam haram
    x ke useless?
    ahahahaha

    dah kalah diam jek la.
    terima la hakikat kalo dah loser
    hahahahaha

    idup skali jek weyh
    dah tua2 mane nk maen futsal lagi
    time skrg la have fun

    huhu
    studpido to those people!
    Anonymous said...
    Initiatif MAK kau... kitorang main dgn member2 lagi fun daripada menang tourney kecil kecilan ni... Ko kene igt dgn umur ko sekarang ni ko main bagus mane pon xleh gi mane ar... Baik ko Study pandai2 kat MMU ni...


    ini adalah org paling bodo skali
    haha

    bodo la ko nie
    maen dgn member,maen dgn member la
    abes tue kalo maen dgn member mng so camne plak?

    stupdido!
    asshole!
    Anonymous said...
    ko ni pon bodo gak... kalo ko tu ade talent, asal ko tak maen ngan malaysia? asal tak men ngan negeri ko? sbb ko takde talent, ko men pon ngan member2 je... masok mmu ni bukan nak talent ko tu utk grad... ko ni nak blajar kat mmu ni 10 tahon ke? ade talent futsal ko tu tolong ko? mase ko grad ade die sebut talent futsal ko?

    idop memang sekali jer, tapi pandai2 la pilih jalan hidup yang lebeh baek. tau la family ko tu loaded hantar mmu utk maen futsal. bdak2 tnf men futsal ni just for fun. kalo aku menang maen same2 member itu biar la remain memories. yang ko peduli apesal?
    Anonymous said...
    nak ckap ko ni pandai, mesti ko bodo... harap talent futsal ko ni memang ape ko nak buat mase depan nanti? ko nak tanggung anak bini ko pakai futsal? ingat ni eropah ke nak kasi gaji mahal2 kat player... setakat malaysia takyah pk lar nak pegi jaoh lar wei. takpe la ko bangga la ape yang ko menang skrg ni. ko nak ckap kitorang loser pon kitorang tak kesah pon. wat penat ckap ngan orang bodo cam ko ni.
    Anonymous said...
    studpido <--------------- Bukti mamat ni bodoh berangkai ...

    kate pandai sgt...eja stupid pon x reti... ko ni mmg bodoh ar... dah terbukti..

    at least aku ade talent <------- Bukti mamat ni self-claimer. Die sendiri claim diri sendiri talented..
    Anonymous said...
    anonymous said
    "idup skali jek weyh
    dah tua2 mane nk maen futsal lagi
    time skrg la have fun"

    ko jugak ckap time skrg la nak have fun. abes ape yang ko tak puas hati ngan kitorang men futsal sbb nak have fun?
    Anonymous said...
    "idup skali jek weyh
    dah tua2 mane nk maen futsal lagi
    time skrg la have fun"
    dah kate nak fun, apsal lak ko nak emo2. talented ke x, pegi mampos la.
    Anonymous said...
    "kalo tourney kecik pun dah kalah,
    complain lagi pasal bdk2 yg hebat."

    Ko x nampak ke TNF selection gi Final MMU futsal League tu..

    team ko and talent yang ko claim tu ade ke gi FINAL MMU futsal..

    ko juara tourney kecik kecik jer macam ko cakap...
    Anonymous said...
    ko juara tourney kecik kecik jer macam ko cakap... <----- maaf x pastila ko ni juara ke x..
    Anonymous said...
    best2...tmbh2 lg...nasi byk lg nih...kalahkn blog mahathir weh...hehehe :D
    Anonymous said...
    bodo berangkai gak ko nie
    haha

    compom2 aa mng
    kalo klh x de aaa ckp
    hahahaha


    sorry loser
    haha
    Anonymous said...
    alhamdulillah mamat keco ni dah x bising sgt dah...

    die pon xgune dah perkataan salah eja die ni -----> 'studpido'


    tapi die masih bodo berangkai

    -----> compom2

    haihhhhh
    Anonymous said...
    Mamat ni dah senyap akhirnya.. TNF kembali aman.. die sudah sedar akan kebodohan die... xperlu la kita panjang-panjangkan isu ni...

    P/s :

    aku try gak ar cari perkataan compom2 ni.. x jumpe ar.. aku rase ni perkataan dari alam dungu..
    Anonymous said...
    weh ble nk wat tour lg?
    best ap aritu,
    Anonymous said...
    Wise men never sit and wail their loss, but cheerily seek how to redress their harms

    all the ramblings and nonchalant saying about how unfair this tournament was; clearly shows how immature and sore loser you guys are.

    a game was meant to be won, people dont play to loose, or perhaps you guys do play to loose.well i never see you guys winning anything yet.

    and please dont brag about your selection winning the 2nd place in the mmu futsal league when the others can claimed that they too have divided their team into several other team so that none will be that superior.

    and stop whining about the outsiders are better than you are. some are way better than you, some are slightly better than you and some are just like you. but they play with the right mind; to win

    and dont brag about some are stupid and some are not, we are all in MMU, if you are that clever, why arent you in harvard?

    and dont complain about some dude are from rich family whatsoever. the religion itself asked you to find wealth as if you are going to live forever and commit yourself to allah as if you are going to die tmrw

    only losers whine when they lose.
    real winners find solution to their problems and improve themselves over the time

    but wait, you are all a bunch of typical malays, so not much can be expected from you

    enough said
    Anonymous said...
    couldnt agree more with you..maybe i should say UM instead of harvard.
    but then, i dont eat budu..
    and unfortunately my english is way more superior than my other language.
    Anonymous said...
    actually we don't really care about winning all the titles and so on ..as long we play as family..that is what TNF all about.

    enough said bluweeekk~
    Anonymous said...
    then stop whining like a little girl :)
    Anonymous said...
    so???
    Anonymous said...
    em aku rasa budak "stupido-compom2" ni cuba berlakon ala student havard..nak cover line la tu..tetttt~!
    Anonymous said...
    Yo English Man..

    What's your problem?

    Are you sohai/stupid/moron? [please choose one]
    Did u know what is the title of this comment?
    let me tell u
    it is

    "Postmortem-segmen terjah zasssss~!"

    so we can say what we want and what we think about the tournament.

    U cannot blame us for the whinning and all the comments here.

    Hey Who Are U anyway to Tell US what to do and what to be.

    like u said earlier

    "enough said"
    Anonymous said...
    Budak stupido-compom2 tu dah give up dah nak tulis kat comment ni..

    xkan la die yang tulis english tu..
    'stupid and confirm' pon salah eja..

    kalau betul die tulis mesti die bayar member die soh tulis karangan untuk die ni..

    yerla hati dah terbakar...

    aku setuju sgt la comment kat atas cakap derang ni apehal nak keco2 kat post mortem orang len..

    nak settle senang jer
    ko watla post mortem sendiri xpayah nak spam2 kat sini dah.
    Kitorang x kesah pon kalah kat Tournament SRM-TNF tu.. kitorang kalah menang ke tetap hepi.. xyah la nak spam2 kat sini lagi.. wat aku gelak jer ... lagi-lagi mamat stupido-compom2 tu..
    Anonymous said...
    this guy is acting all clever and shit now. just because u can write good english doesnt mean u can speak well too.. write all you want cause we dont give a fuck to be honest.. stop it, ur making a fool of yourself. while ur at it, perhaps grow a set of balls and post using ur real name. hiding behind that "Anynomous" name doesnt get u anywhere does it. oh wait, maybe u dont have one?

    i know my english sucks, do i care?
    fAizAL(^^,)izWaN said...
    pergh~
    this post has become hot and hot and hotter..hahahhaha~

    ok...
    enough said....
    after this,I BEG TO TNF,PLEASE DONT ORGANIZE ANY KIND OF TOURNEY AGAIN ESPECIALLY WITH OTHER CLUB/ORGANIZATION....AND PLEASE..IF U REALLY WANT TO ORGANIZE A TOURNEY,LET IT BE ONLY AMONG THE PURE TNF MEMBERS.....

    ok..about those foreign talking kind of stuff..here me,i show my true colour.....u can click at my data & find out who is this person...

    have u ever heard of "dont judge a book by blah blah blah" (i'm sure u heard it because "U ARE SO CLEVER ENOUGH TO ENTER MMU RITE??(eh,clever ke???haha..sbb kalo kitorg yg masuk MMU ni pun dh dipanggil bengap,means that those person pun dikira bengap r gak eh!!??yelaa,bak kata die...kalo kita bijak,dh lama dh jadi havard student!!hahahha)"....orite~

    the point is,dont judge us TNF just by watching/looking/reading all the post & comment in this post/blog...if u really want to judge us,be part of us....(i can guara-damn-tee most of TNF members dont want u to be part of us!!!hahaha)

    why???because all u do is just talking some crap stuff....u said,we all hide behind the annonymous name..then,why dont u show urself to us???why is it u choose to write ur name "ashame to call myself a part of TNF member??"...wanna know why??because u are the person who like "to hide ur hand behind ur back after throwing a stone to other people!!"...IF U KNOW WHAT I MEAN!!!!

    whatever....
    all we know...is that TNF is a FAMILY SPORTS for us...we all play just for fun...ONLY SELFISH PEOPLE thinks all about winnings...
    we all play for fun...and if we won,then that's our reward for having fun...we dont give a damn for any cup or champions...

    orite..enough said....

    (this is my own opinion which didnt reflect the TNF opinion....but i'm sure that most of TRUE/PURE TNF MEMBER will agree with me!!hahhahaa)

    p/s: rilex guys...dont care about what this "outsiders" said....we all know what TNF is all about!!!
    Anonymous said...
    aminnnn....
    kalo org kutuk kite...kite ckp
    alhamdulillah...mak aku ajar dlu...
    jom kawan2...alhamdulillah... ;P
    Anonymous said...
    aku nak tanye lar kan.... ade ker albert einstein masok harvard?
    ade sir isaac newton masok harvard?
    ade ke bill gates masok harvard?
    ade ke dorang ni masok harvard?
    ade ke stephen hawking masok harvard?
    kire dorang ni bodo lar sebab tak masok harvard? abes kite ni belajar theory bodo dorang lar ni?

    enough said
    Anonymous said...
    ade kah gobbi ramasamy msuk harvard??
    ian chai msuk harvard???
    shabiul msuk harvard???
    mr pang msuk harvard??
    tan ai hui???
    tan yi fei???
    msuk harvard ke???
    ariyonto msuk harvard????lew swee cheng msuk harvard???

    kire diorang ni sume bodo ar..
    bestu pehal ko blajar ngn diorang motherfuckerrrrrr......
    Anonymous said...
    lupe nk ckp...

    enough said...
    Anonymous said...
    go back to ur room...
    study more about manners ok...

    daa~~
    Anonymous said...
    poyo havard my ass!!!
    Anonymous said...
    dawood mn?
    Anonymous said...
    dawood g hb2 tapau nasi sirr..emm apa motif?budak harvard men futsal ke kat sane?
    Anonymous said...
    ko berak pastu ko makan taik ko balik..
    aku rase kalau pagi tadi ko makan nasi lemak, taik ko pon rase nasi lemak sbb badan ko tak absorb pape...

    tu yang poyo je memanjang...
    bising mcm tin kosong..

    poyo claim havard my ass!!
    Anonymous said...
    ahaha, dah men taik2 dah skrg...
    jom la lwn blk.
    Anonymous said...
    aku mkn nasik lemak pagi td, taik aku tak rase nasik lemak pon?
    Anonymous said...
    sudah2 lah budak havard...


    "Masuk tempat orang bawa otak bukan pisau..

    ingat nak berlaga tapi tak kan boleh menang

    Menang dengan otak tak mesti dengan pedang"

    renung-renungkan dan selamat beramal~
    Anonymous said...
    HARVARD THIS








































































    library IEEE;
    use IEEE.std_logic_1164.all;
    use IEEE.std_logic_arith.all;
    use IEEE.std_logic_unsigned.all;
    library SYNOPSYS;
    use SYNOPSYS.attributes.all;
    entity stop is
    port (CLK: in STD_LOGIC;
    Hold: in STD_LOGIC;
    RST: in STD_LOGIC;
    EW1: out STD_LOGIC;
    EW2: out STD_LOGIC;
    NS1: out STD_LOGIC;
    NS2: out STD_LOGIC);
    end;
    architecture stop_arch of stop is
    component ibuf port(I: in std_logic;

    O: out std_logic);
    end component;
    component bufg port(I: in std_logic;
    O: out std_logic);
    end component;
    -- SYMBOLIC ENCODED state machine: Sreg0
    type Sreg0_type is (S0, S1, S2, S3, S4, S5, S6);
    signal Sreg0: Sreg0_type;
    signal Clear: STD_LOGIC;
    signal RESET: STD_LOGIC;
    signal COUNT: INTEGER range 0 to 128;
    signal in_c, buf_c: std_logic;
    begin
    -- clock needs to be routed through the clock buffer
    u0: ibuf port map(I => clk, O => in_c);
    u1: bufg port map(I => in_c, O => buf_c);
    --concurrent signal assignments
    RESET <= (Clear or RST);
    --diagram ACTIONS;
    -- 4-bit synchronous counter with count enable,
    -- asynchronous reset and synchronous load
    -- CLK: in STD_LOGIC;
    -- RESET: in STD_LOGIC;
    -- COUNT: inout INTEGER range 0 to 15;
    process (CLK, RESET)
    begin
    if RESET=’1’ then
    COUNT <= 0;
    elsif CLK=’1’ and CLK’event then
    COUNT <= COUNT + 1;
    end if;
    end process;
    Sreg0_machine: process (CLK)
    begin
    if CLK’event and CLK = ’1’ then
    if RST=’1’ then
    Sreg0 <= S0;
    else
    case Sreg0 is
    when S0 =>
    Sreg0 <= S1;
    when S1 =>
    if Hold=’0’ then
    Sreg0 <= S2;
    else
    Sreg0 <= S1;
    end if;
    when S2 =>
    if COUNT=30 then
    Sreg0 <= S3;
    else
    Sreg0 <= S2;
    end if;
    when S3 =>
    if COUNT=34 then
    Sreg0 <= S4;
    else
    Sreg0 <= S3;
    end if;
    when S4 =>
    if Hold=’0’ then
    Sreg0 <= S5;
    else
    Sreg0 <= S4;
    end if;
    when S5 =>
    if COUNT=30 then
    Sreg0 <= S6;
    else
    Sreg0 <= S5;
    end if;
    when S6 =>
    if COUNT=34 then
    Sreg0 <= S1;
    else
    Sreg0 <= S6;
    end if;
    when others =>
    Sreg0 <= S0;
    end case;
    end if;
    end if;
    end process;
    -- signal assignment statements for combinatorial outputs
    NS1_assignment:
    NS1 <= ’1’ when (Sreg0 = S1) else
    ’0’ when (Sreg0 = S2) else
    ’0’ when (Sreg0 = S3) else
    ’1’ when (Sreg0 = S4) else
    ’1’ when (Sreg0 = S5) else
    ’1’ when (Sreg0 = S6) else
    ’0’;
    NS2_assignment:
    NS2 <= ’1’ when (Sreg0 = S1) else
    ’0’ when (Sreg0 = S2) else
    ’1’ when (Sreg0 = S3) else
    ’1’ when (Sreg0 = S4) else
    ’1’ when (Sreg0 = S5) else
    ’1’ when (Sreg0 = S6) else
    ’1’;
    EW1_assignment:
    EW1 <= ’1’ when (Sreg0 = S1) else
    ’1’ when (Sreg0 = S2) else
    ’1’ when (Sreg0 = S3) else
    ’1’ when (Sreg0 = S4) else
    ’0’ when (Sreg0 = S5) else
    ’0’ when (Sreg0 = S6) else
    ’0’;
    EW2_assignment:
    EW2 <= ’1’ when (Sreg0 = S1) else
    ’1’ when (Sreg0 = S2) else
    ’1’ when (Sreg0 = S3) else
    ’1’ when (Sreg0 = S4) else
    ’0’ when (Sreg0 = S5) else
    ’1’ when (Sreg0 = S6) else
    ’1’;
    Clear_assignment:
    Clear <= ’1’ when (Sreg0 = S1) else
    ’0’ when (Sreg0 = S2) else
    ’0’ when (Sreg0 = S3) else
    ’1’ when (Sreg0 = S4) else
    ’0’ when (Sreg0 = S5) else
    ’0’ when (Sreg0 = S6) else
    ’1’;
    end stop_arch;
    Anonymous said...
    pajadah source code ni...
    Anonymous said...
    code ni takde bcd counter ngn lcd display dow..lagi satu ko tak taruk clock..cmner nk simulate?
    Anonymous said...
    ek eleh...lu x nmpk tnf selection pegi smpi final...itu team atoi pon gua cantas lu taw wakakakakaka
    Anonymous said...
    laaa aku baru prasan sial ader comment kat ruangan ni....kimakkk aku terlepas pergaduhan panassss
    Anonymous said...
    babik aku pon terlepas gak gado panjang2 ni... adei... gado blk pls. bole aku join skali
    khairul anwar said...
    so lepas dah hangat 'berbincang' tentang TNF-SRM Champions Cup ni apelagi en tnf..sila bagi rumusan aka conclusion..
    Anonymous said...
    start balek gadoh plz... cibai areez
    Anonymous said...
    oi pe ni gaduh arr.... aku src...kang aku ban korang kang....gaduh balik....
    Anonymous said...
    ahhh tnf mmg cibai. dh kalah tu wat la cara kalah. smer dok masuk plate jer pastu bg alasan mcm2. gler sore loser. pastu nk kecoh dpt msk final futsal mmu league kalo mng nk kecoh xpe ni kalah. ko tau x 2nd place tu kira the 1st loser bak kata dan scott. blah la weyh tnf. booo... sape nk gado lg? cpt2 gado. meh sini. nk bertumbok pon bole. dtg jer dengkil. kita setel kat fadhiya

Post a Comment



Newer Post Older Post Home