.Postmortem-segmen terjah zasssss~!.
good day semua hooligan TNF...
amacam bro?g kelas Digital System tak pagi tadi hahaha..
terima kasih semua hooligan TNF dan member-member SRM sebab jayakan TNF-SRM Champions Cup.Terutamanya Ketua Biro Kecergasan SRM MMU Cyber-Cik Huda.Sila berikan tepukkan gemuruhh dan fewittt~
memandang semalam macam ramai nak beri pendapat dan cadangan,jadi silalah kemukakan komen atau carutan (bak kata ammar the chandler) anda disini.
TNF mengamalkan sistem demokrasi dan bersifat terbuka.sebarang komen diluar ruangan ini tidak akan dilayan.ok?
komen-komen dan polls anda akan diambil kira dalam entri analisis TNF-SRM CHAMPIONS CUP selepas ini sekali dengan gambar-gambar semalam.Bila?haa yang tu kita tak taw lagi.
kami sebagai penganjur akan cuba untuk memuaskan hati semua hooligan TNF.
sekian
maen suke2 sudey..
xnak maen tourney2 dah...kita maen suke2 pada weekly..biar buang duit janji happy!!!!
sekian~
ni dh serong niat dh ni!!!
haa,apekata...start skang,sume komen ttg kekurangan cup semalam..
ok??
xelok gado2..futsal ni sume duniawi je!!! ;p
haha
salah sape?
salah tnf dan diri tnf juge..
ko pehal nih,memg nk men ngn geng2 kot,xyahla ko gatal2 nk men lg len kali,kalu tnf x buat undi2,kompem srm kena nye smlm.babi ape ckp cm2,mrh giler ak nh
die sokong tnf ke kutuk tnf???
quote,
fakk said...
budak tnf men ngn bdk luar bajet star ar?bile men setiap khamis nak ngn geng2 jugak..fakkk
adakah 'fakk' ckp
budak tnf...contohnye jarir ngn syahir maen ngn bdak luar pastu diorang bajet star,,
or bdak2 undi tnf yg buat star lawan ngn bdak luar?????
mne 1...xplen pliz...
x paham dowh ape yg ko x paham.so sila la bg pemahaman yg btol.
die bengang dgn beberapa geng kita boodark TNF yg maen dgn bdk srm..pastu,boodark2 tu bajet star..
maen dgn bdk srm..tp maen gak dgn geng2 tnf..
tu ape yg aku rasalaaa
semalam hari diorg...hari esok lusa hari wonderboy...
kakakaka
biar ar diorang nk bajet star ke pape ke...
yg penting kite kne training like a pro to become like a pro..hahahaha
fakk tu geng tnf ar...tp asal ade yg maki die???
cian kat ko kan fakk...
p/s mmg wonderwoman bkn tnf r kekekkee
Pastu TNF-CLS lak...
Menang dapat amoi
tp team yg dah menang x leh register dah...amcm???
gua rasa tournament/league/cup ni rasanya elok la buat sekali jer dlm 1 sem..gua cadangkan buat time2 study week or a week b4 study week..
sebab aper??yerla time tu kan da rileks,midterm da abes,esemen pun dah submit..bole la focus nak menang tournament time tu.
utk mggu2 lain pulak..gua cdgkn kita main tnf suka2 cam base..enjoy pun enjoy,gelak pun smpi pecah perut..time2 ni la leraikan otak yg dah serabut(musim midterm n esemen) dgn lepak dgn member2..
ok..gua usulkan cadangan ditutup..
hehe..
P/s :
Xfaham gua cakap ape xpe..
sebab gua pon xfhm..
sebab Guataktipu
bkn ape,kesianla kt player2 lain gak,kalu tau nk men btul,kompem2 pilih team btul2,xdenye team main2,tnf ade sorg je keeper kot,2 pn kokrain,bkn leh pecah2 bdn nk men 5 team..
mls nk ckp byk,lu pikir la sdiri
samekom
aku harap gurlz2 yg nak datang menyokong tu biarla yg single2 jer..
brla bersemangat sikit..hehe
kalu x single..baik dok kat bilik jer wat pape yg ptt.
sbb kehadiran dorg ni telah menyekat kebebasan amar the funny guy dari menghasilkan lawak2 yg kotor..haha
lek aa
dah kalah ,kalah aa
byk la ko nyer excuses
play for the prize ma
p/s
play for fun la weyh
kene aa ade keeper
kalo x
concede la goal
stupido!
ahha
play for fun la weyh
kene aa ade keeper
kalo x
concede la goal
stupido!
ahha
-------------------------------------
kalao nak play for fun men la undi random.. ni pilih sendiri mmg ar ade keeper pro..kalau undi keeper sure xpro...
Stupid!!
sbb patut tournamen mmg pilih team en..
n kalo xsilap,mmg pihak srm plan awl dlu pon pilih grup sndiriii..
ade pihak yg usulkan undi2 niii..
cbe ah selidik dlu br la nk gado2..
p/s:dierang student mmu la..
sbb patut tournamen mmg pilih team en..
n kalo xsilap,mmg pihak srm plan awl dlu pon pilih grup sndiriii..
ade pihak yg usulkan undi2 niii..
cbe ah selidik dlu br la nk gado2..
p/s:dierang student mmu la.."
yg ni mmg huda ar tuleh...
jgn mengelabah bleh ak nk kutuk2 org...
kaw jgn nk kutuk team kitorang lak.!!!
penah plak tournament wat individual...
kaw power sgt ke TNF...
btw,bdak team aku yg sponsor tourney ni so xkn die xleh msuk kot,duit die gak...kaw ade kuar duit ke sponsor.??
jwab skit...
aku mmg bukan knal sgt set2 tnf nie..
aku maen aritu pun ade org ajak je...so maen jela...
haishhh...nyesal aku msuk blog ni...
bajet gle...
quote
"Anonymous said...
cbe korg tny sape yg nk bt undi2 tu..
sbb patut tournamen mmg pilih team en..
n kalo xsilap,mmg pihak srm plan awl dlu pon pilih grup sndiriii..
ade pihak yg usulkan undi2 niii..
cbe ah selidik dlu br la nk gado2..
p/s:dierang student mmu la.."
yg ayat atas ni huda yg tuleh...tp ko bahan aku..mmg aku trase la lahanat...
lagi! lagi!...
hua hua hua...
ammar go die la
hahaha
budjet power arr...
baru bayar 20 hinggit, tu pon dah bahagi sampai 7-8 orang keco...
blah la wei!..
kantoi syial!..
hehehe...
ape kate kite lawan2 tumbuk..
haha
keco giler!
P/s: oi syaiton diam arr ko!!
dengar citer roomate ko rosakkan kipas ko...
dia dah ganti ke bloom..?
hahaha!
go die
Anonymous said...
ko bajet dh kuat sgt nk lawan tumbuk???
lg kuat dr ko la.. nk men futsal pon nk kene ade sub.
puii
korang ni macam budak2 la nak bergadoh2. bende dah lepas, what past is past la. Lagipon rules pon tak ditetapkan sgt. aku taktau la plak bdak2 srm tu bkan bdak srm. tapi korang kene ingat gak, bdak2 tnf tu ade lagi newbie baru men bola. korang takyah la bangga sgt kalo menang sebab team2 camni masok. Pegi la masok cosmo futsal ke kalo nak menang sgt. bdak2 tnf ni masok pon sbb ingat tournament closed.
Aku mintak ampun maap la dari bdak2 tnf kalo dorang terberlagak kat korang sampai korang emo. tapi jgn la pandang rendah sgt bdak2 tnf. team haziq tu pon kalah ngan team srm tipis2 je. Bukan tak boleh kasi fight kat korang. itupon team random. so lain kali.. aku vote mmg no TNF-SRM. aku boikot srm buat sementara waktu.
tnf harap sme dpt bersabar....
yg lepas tuh biarlah lepas...
jgnlah kita hendak menyakiti hati sesiapa...
tournament dh abes tp masih byk bunyik lg...ini ibarat rumah siap pahat berbunyi...
x eloklah mcm nie...
kita sme rakan2 oke
telo tu berat sangat ker..?
baru main sekejap nak tukar2 player...
gua yang "kenderaan berat ikut kiri" ni pon main full time..
baru memang skali nak blagak...
ader hati nak ajak bertumbuk pulak...
keco arr...
p/s: dia bloom ganti kipas aku dow...
huhuhu...
bende nie..kalo dah kalah improve laa..blaja dari silap..takkan nk main dgn2 member2 je..mmg tak berkembang laa smpi ke tua..lpas tu nk salah kan org len..ade keeper laa..ade sub laa..cmon wei..ini baru tourney kecik je..kemut lbey aa..enough wit the excuses..cermin diri dulu :p
tnf for life~
haha
kalo tourney kecik pun dah kalah,
complain lagi pasal bdk2 yg hebat
mmg bodo berangkai la
wakakakkakakakaka
study2 la
maen futsal2 la
bodo betul
bajet aku bodo cam ko
at least aku ade talent
ko tue blaja dah la bangkai
maen cam haram
x ke useless?
ahahahaha
dah kalah diam jek la.
terima la hakikat kalo dah loser
hahahahaha
idup skali jek weyh
dah tua2 mane nk maen futsal lagi
time skrg la have fun
huhu
studpido to those people!
ini adalah org paling bodo skali
haha
bodo la ko nie
maen dgn member,maen dgn member la
abes tue kalo maen dgn member mng so camne plak?
stupdido!
asshole!
idop memang sekali jer, tapi pandai2 la pilih jalan hidup yang lebeh baek. tau la family ko tu loaded hantar mmu utk maen futsal. bdak2 tnf men futsal ni just for fun. kalo aku menang maen same2 member itu biar la remain memories. yang ko peduli apesal?
kate pandai sgt...eja stupid pon x reti... ko ni mmg bodoh ar... dah terbukti..
at least aku ade talent <------- Bukti mamat ni self-claimer. Die sendiri claim diri sendiri talented..
"idup skali jek weyh
dah tua2 mane nk maen futsal lagi
time skrg la have fun"
ko jugak ckap time skrg la nak have fun. abes ape yang ko tak puas hati ngan kitorang men futsal sbb nak have fun?
dah tua2 mane nk maen futsal lagi
time skrg la have fun"
dah kate nak fun, apsal lak ko nak emo2. talented ke x, pegi mampos la.
complain lagi pasal bdk2 yg hebat."
Ko x nampak ke TNF selection gi Final MMU futsal League tu..
team ko and talent yang ko claim tu ade ke gi FINAL MMU futsal..
ko juara tourney kecik kecik jer macam ko cakap...
haha
compom2 aa mng
kalo klh x de aaa ckp
hahahaha
sorry loser
haha
die pon xgune dah perkataan salah eja die ni -----> 'studpido'
tapi die masih bodo berangkai
-----> compom2
haihhhhh
P/s :
aku try gak ar cari perkataan compom2 ni.. x jumpe ar.. aku rase ni perkataan dari alam dungu..
best ap aritu,
all the ramblings and nonchalant saying about how unfair this tournament was; clearly shows how immature and sore loser you guys are.
a game was meant to be won, people dont play to loose, or perhaps you guys do play to loose.well i never see you guys winning anything yet.
and please dont brag about your selection winning the 2nd place in the mmu futsal league when the others can claimed that they too have divided their team into several other team so that none will be that superior.
and stop whining about the outsiders are better than you are. some are way better than you, some are slightly better than you and some are just like you. but they play with the right mind; to win
and dont brag about some are stupid and some are not, we are all in MMU, if you are that clever, why arent you in harvard?
and dont complain about some dude are from rich family whatsoever. the religion itself asked you to find wealth as if you are going to live forever and commit yourself to allah as if you are going to die tmrw
only losers whine when they lose.
real winners find solution to their problems and improve themselves over the time
but wait, you are all a bunch of typical malays, so not much can be expected from you
enough said
but then, i dont eat budu..
and unfortunately my english is way more superior than my other language.
enough said bluweeekk~
What's your problem?
Are you sohai/stupid/moron? [please choose one]
Did u know what is the title of this comment?
let me tell u
it is
"Postmortem-segmen terjah zasssss~!"
so we can say what we want and what we think about the tournament.
U cannot blame us for the whinning and all the comments here.
Hey Who Are U anyway to Tell US what to do and what to be.
like u said earlier
"enough said"
xkan la die yang tulis english tu..
'stupid and confirm' pon salah eja..
kalau betul die tulis mesti die bayar member die soh tulis karangan untuk die ni..
yerla hati dah terbakar...
aku setuju sgt la comment kat atas cakap derang ni apehal nak keco2 kat post mortem orang len..
nak settle senang jer
ko watla post mortem sendiri xpayah nak spam2 kat sini dah.
Kitorang x kesah pon kalah kat Tournament SRM-TNF tu.. kitorang kalah menang ke tetap hepi.. xyah la nak spam2 kat sini lagi.. wat aku gelak jer ... lagi-lagi mamat stupido-compom2 tu..
i know my english sucks, do i care?
this post has become hot and hot and hotter..hahahhaha~
ok...
enough said....
after this,I BEG TO TNF,PLEASE DONT ORGANIZE ANY KIND OF TOURNEY AGAIN ESPECIALLY WITH OTHER CLUB/ORGANIZATION....AND PLEASE..IF U REALLY WANT TO ORGANIZE A TOURNEY,LET IT BE ONLY AMONG THE PURE TNF MEMBERS.....
ok..about those foreign talking kind of stuff..here me,i show my true colour.....u can click at my data & find out who is this person...
have u ever heard of "dont judge a book by blah blah blah" (i'm sure u heard it because "U ARE SO CLEVER ENOUGH TO ENTER MMU RITE??(eh,clever ke???haha..sbb kalo kitorg yg masuk MMU ni pun dh dipanggil bengap,means that those person pun dikira bengap r gak eh!!??yelaa,bak kata die...kalo kita bijak,dh lama dh jadi havard student!!hahahha)"....orite~
the point is,dont judge us TNF just by watching/looking/reading all the post & comment in this post/blog...if u really want to judge us,be part of us....(i can guara-damn-tee most of TNF members dont want u to be part of us!!!hahaha)
why???because all u do is just talking some crap stuff....u said,we all hide behind the annonymous name..then,why dont u show urself to us???why is it u choose to write ur name "ashame to call myself a part of TNF member??"...wanna know why??because u are the person who like "to hide ur hand behind ur back after throwing a stone to other people!!"...IF U KNOW WHAT I MEAN!!!!
whatever....
all we know...is that TNF is a FAMILY SPORTS for us...we all play just for fun...ONLY SELFISH PEOPLE thinks all about winnings...
we all play for fun...and if we won,then that's our reward for having fun...we dont give a damn for any cup or champions...
orite..enough said....
(this is my own opinion which didnt reflect the TNF opinion....but i'm sure that most of TRUE/PURE TNF MEMBER will agree with me!!hahhahaa)
p/s: rilex guys...dont care about what this "outsiders" said....we all know what TNF is all about!!!
kalo org kutuk kite...kite ckp
alhamdulillah...mak aku ajar dlu...
jom kawan2...alhamdulillah... ;P
ade sir isaac newton masok harvard?
ade ke bill gates masok harvard?
ade ke dorang ni masok harvard?
ade ke stephen hawking masok harvard?
kire dorang ni bodo lar sebab tak masok harvard? abes kite ni belajar theory bodo dorang lar ni?
enough said
ian chai msuk harvard???
shabiul msuk harvard???
mr pang msuk harvard??
tan ai hui???
tan yi fei???
msuk harvard ke???
ariyonto msuk harvard????lew swee cheng msuk harvard???
kire diorang ni sume bodo ar..
bestu pehal ko blajar ngn diorang motherfuckerrrrrr......
enough said...
study more about manners ok...
daa~~
aku rase kalau pagi tadi ko makan nasi lemak, taik ko pon rase nasi lemak sbb badan ko tak absorb pape...
tu yang poyo je memanjang...
bising mcm tin kosong..
poyo claim havard my ass!!
jom la lwn blk.
"Masuk tempat orang bawa otak bukan pisau..
ingat nak berlaga tapi tak kan boleh menang
Menang dengan otak tak mesti dengan pedang"
renung-renungkan dan selamat beramal~
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library SYNOPSYS;
use SYNOPSYS.attributes.all;
entity stop is
port (CLK: in STD_LOGIC;
Hold: in STD_LOGIC;
RST: in STD_LOGIC;
EW1: out STD_LOGIC;
EW2: out STD_LOGIC;
NS1: out STD_LOGIC;
NS2: out STD_LOGIC);
end;
architecture stop_arch of stop is
component ibuf port(I: in std_logic;
O: out std_logic);
end component;
component bufg port(I: in std_logic;
O: out std_logic);
end component;
-- SYMBOLIC ENCODED state machine: Sreg0
type Sreg0_type is (S0, S1, S2, S3, S4, S5, S6);
signal Sreg0: Sreg0_type;
signal Clear: STD_LOGIC;
signal RESET: STD_LOGIC;
signal COUNT: INTEGER range 0 to 128;
signal in_c, buf_c: std_logic;
begin
-- clock needs to be routed through the clock buffer
u0: ibuf port map(I => clk, O => in_c);
u1: bufg port map(I => in_c, O => buf_c);
--concurrent signal assignments
RESET <= (Clear or RST);
--diagram ACTIONS;
-- 4-bit synchronous counter with count enable,
-- asynchronous reset and synchronous load
-- CLK: in STD_LOGIC;
-- RESET: in STD_LOGIC;
-- COUNT: inout INTEGER range 0 to 15;
process (CLK, RESET)
begin
if RESET=’1’ then
COUNT <= 0;
elsif CLK=’1’ and CLK’event then
COUNT <= COUNT + 1;
end if;
end process;
Sreg0_machine: process (CLK)
begin
if CLK’event and CLK = ’1’ then
if RST=’1’ then
Sreg0 <= S0;
else
case Sreg0 is
when S0 =>
Sreg0 <= S1;
when S1 =>
if Hold=’0’ then
Sreg0 <= S2;
else
Sreg0 <= S1;
end if;
when S2 =>
if COUNT=30 then
Sreg0 <= S3;
else
Sreg0 <= S2;
end if;
when S3 =>
if COUNT=34 then
Sreg0 <= S4;
else
Sreg0 <= S3;
end if;
when S4 =>
if Hold=’0’ then
Sreg0 <= S5;
else
Sreg0 <= S4;
end if;
when S5 =>
if COUNT=30 then
Sreg0 <= S6;
else
Sreg0 <= S5;
end if;
when S6 =>
if COUNT=34 then
Sreg0 <= S1;
else
Sreg0 <= S6;
end if;
when others =>
Sreg0 <= S0;
end case;
end if;
end if;
end process;
-- signal assignment statements for combinatorial outputs
NS1_assignment:
NS1 <= ’1’ when (Sreg0 = S1) else
’0’ when (Sreg0 = S2) else
’0’ when (Sreg0 = S3) else
’1’ when (Sreg0 = S4) else
’1’ when (Sreg0 = S5) else
’1’ when (Sreg0 = S6) else
’0’;
NS2_assignment:
NS2 <= ’1’ when (Sreg0 = S1) else
’0’ when (Sreg0 = S2) else
’1’ when (Sreg0 = S3) else
’1’ when (Sreg0 = S4) else
’1’ when (Sreg0 = S5) else
’1’ when (Sreg0 = S6) else
’1’;
EW1_assignment:
EW1 <= ’1’ when (Sreg0 = S1) else
’1’ when (Sreg0 = S2) else
’1’ when (Sreg0 = S3) else
’1’ when (Sreg0 = S4) else
’0’ when (Sreg0 = S5) else
’0’ when (Sreg0 = S6) else
’0’;
EW2_assignment:
EW2 <= ’1’ when (Sreg0 = S1) else
’1’ when (Sreg0 = S2) else
’1’ when (Sreg0 = S3) else
’1’ when (Sreg0 = S4) else
’0’ when (Sreg0 = S5) else
’1’ when (Sreg0 = S6) else
’1’;
Clear_assignment:
Clear <= ’1’ when (Sreg0 = S1) else
’0’ when (Sreg0 = S2) else
’0’ when (Sreg0 = S3) else
’1’ when (Sreg0 = S4) else
’0’ when (Sreg0 = S5) else
’0’ when (Sreg0 = S6) else
’1’;
end stop_arch;